Open Access

An Efficient Functional Test Generation Method For Processors Using Genetic Algorithms


Cite

[1] BERNARDI, P.—GROSSO, M.—SANCHEZ, E.—REORDA, M. S. : Software-Based Self-Test of Embedded Microprocessors, In: Design and Test Technology for Dependable Systems-on-Chip, Chapter 15 (R. Ubar, J. Raik, H. T. Vierhaus, eds.), Information Science Reference, IGI Global, Herschey, New York, 2011, pp. 339-359.Search in Google Scholar

[2] PSARAKIS, M.—GIZOPOULOS, D.—SANCHEZ, E.—REORDA, M. S. : Microprocessor Software-Based Self-Testing, IEEE Design & Test of Computers 27, No. 3 (May/June 2010), 4–19.10.1109/MDT.2010.5Search in Google Scholar

[3] KABIRI, P. S.—NAVABI, Z. : Effective RT-Level Software-Based Self-Testing of Embedded Processor Cores, In: 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2012, Tallinn, Estonia, April 18–20, 2012, pp. 209–212.Search in Google Scholar

[4] BERNARDI, P.—CIGANDA, L. M.—SANCHEZ, E.—SONZA REORDA, M. : MIHST: a Hardware technique for Embedded Microprocessor Functional On/line Self-Test, IEEE Transactions on Computers PP No. 99 (2013), 1–12.Search in Google Scholar

[5] SCHÖLZEL, M.—KOAL, T.—RÖDER, S.—VIERHAUS, H. T. : Towards an Automatic Generation of Diagnosis in Field SBST for Processor Components, In: 14th IEEE Latin-American Test Workshop, Cordoba, Argentina, April 3-5, 2013, pp. 1–6.10.1109/LATW.2013.6562676Search in Google Scholar

[6] CORNO, F.—SANCHEZ, E.—SONZA REORDA, M.—SQUILLERO, G. : Automatic Test Program Generation: A Case Study, IEEE Design & Test of Computers 21 No. 2 (Mar/Apr 2004), 102–109.10.1109/MDT.2004.1277902Search in Google Scholar

[7] BERNARDI, P.—SANCHEZ, E.—SCHILLACI, M.—SQUILLERO, G.—SONZA REORDA, M. : An Evolutionary Methodology to Enhance Processor Software-Based Diagnosis, In: Proceedings of 2006 IEEE Congress on Evolutionary Computation, Vancouver BC, July 16-21, 2006, pp. 859–864.Search in Google Scholar

[8] SANCHEZ, E.—SONZA REORDA, M.—SQUILLERO, G. : Efficient Techniques for Automatic Verification-Oriented Test Set Optimization, Int. Journal of Parallel Programming 34 No. 1 (Mar 2006), 93–109, Springer.10.1007/s10766-005-0005-7Search in Google Scholar

[9] SANCHEZ, E.—SCHILLACI, M.—SQUILLERO, G.—SONZA REORDA, M. : An Enhanced Technique for the Automatic Generation of Effective Diagnosis-Oriented Test Programs for Processor, In: Proc. of Design, Automation & Test in Europe Conference & Exhibition 2007, DATE 07, Nice Acropolis, France, April 16-20, 2007, pp. 1–6.10.1109/DATE.2007.364451Search in Google Scholar

[10] HUDEC, J. : VLSI System Test Design: The Methods, Problems and Experience in Microprocessor Testing Using AFTG, In: Proc. of 16th Int. Conference Information Technology Interfaces ITI94 (V.Ceric, V.H.Dobric, eds.), Zagreb University Computing Centre, Pula, June 14-17, 1994, pp. 191-193.Search in Google Scholar

[11] ModelSim SE Command Reference Manual. Mentor Graphics Corp. 2009. http://www.supportnet.mentor.com/support/documentation/se/pdf_6.5/modelsim_se_ref.pdf, 2009.Search in Google Scholar

[12] BELKIN, V. V.—SHARSHUNOV, S. G. : ISA Based Functional Test Generation with Application to Self-Test of RISC Processors, In: Proceedings of the 9th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2006), Prague, Czech Republic, Apr 18-21, 2006, pp. 73–74.Search in Google Scholar

[13] THATTE, S. M.—ABRAHAM, J. A. : A Methodology for Functional Level Testing of Microprocessors, In: Proc. 8th International Symposium on Fault-tolerant Computing, Toulouse, 1978, pp. 90–95.Search in Google Scholar

[14] GIZOPOULOS, D.—PSARAKIS, M.—HATZIMIHAIL, M.—MANIATAKOS, M.—PASCHALIS, A.—RAGHUNATHAN, A.—RAVI, S. : Systematic Software-Based Self-Test for Pipelined Processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 No. 11 (2008), 1441–1453.10.1109/TVLSI.2008.2000866Search in Google Scholar

[15] APOSTOLAKIS, A.—PSARAKIS, M.—GIZOPOULOS, D.—PASCHALIS, A.—PARULKAR, I. : Exploiting Thread-Level Parallelism in Functional Self-Testing of CMT Processors, In: Proc. of 14th IEEE European Test Symposium ETS 2009, Seville, Spain, 2009, pp. 33–38.10.1109/ETS.2009.31Search in Google Scholar

[16] SQUILLERO, G. : MicroGP – An Evolutionary Assembly Program Generator, Genetic Programming and Evolvable Machines 6 No. 3 (2005), 247–263, Springer, New York.Search in Google Scholar

[17] ASHENDEN, P. J. : The VHDL Cookbook, Department of Computer Science, University of Adelaide, Australia, 1990.Search in Google Scholar

[18] SCHWEFEL, H. P.—BÄCK, T. : Evolution Strategies, In Genetic Algorithms in Engineering and Computer Science (Périaux J. and Winter, G., eds.), John Wiley & Sons Ltd, Chichester, 1995.Search in Google Scholar

[19] HUDEC, J. : Some Results in Automatic Functional Test Design for Processors, In: Emerging Trends in Computing, Informatics, Systems Sciences, and Engineering (Sobh, T, Elleithy, K., eds.), Lecture Notes in Electrical Engineering, vol. 151, Springer Science+Business Media B.V., New York, 2013, pp. 965–972.10.1007/978-1-4614-3558-7_82Search in Google Scholar

[20] HUDEC, J. : An Efficient Technique for Processor Automatic Functional Test Generation based on Evolutionary Strategies, In: Proceedings of the 33rd International Conference on Information Technology Interfaces ITI (V. Luzar-Stiffler, ed.), UCC Zagreb & IEEE CP, Cavtat/Dubrovnik, Croatia, June, 27-30, 2011, pp. 527–532.Search in Google Scholar

[21] HUDEC, J. : Processor Functional Test Generation — Some Results with using of Genetic Algorithms, In: Proceedings of the 2nd Eastern European Regional Conference on the Engineering of Computer Based Systems (ECBS-EERC 2011), Bratislava, Slovakia, Sep, 5–6, 2011 (V. Vranić, ed.), IEEE Computer Press, Los Alamitos: IEEE Computer Society, pp. 159–160.10.1109/ECBS-EERC.2011.37Search in Google Scholar

eISSN:
1339-309X
Language:
English
Publication timeframe:
6 times per year
Journal Subjects:
Engineering, Introductions and Overviews, other